產業新訊

新聞日期:2024/09/19  | 新聞來源:經濟日報

國發會:我半導體有20年優勢

主委劉鏡清強調 要打造全球最完整生態系 讓科技業領先全球地位更加穩固
【台北報導】
國發會主委劉鏡清昨(18)日表示,台灣半導體產業還有十至20年優勢,絕不能讓這個經濟成長引擎熄火,政府將積極打造全球最完整的生態系,讓台灣科技業領先全球的地位更加穩固。

劉鏡清接受網路節目專訪昨日播出,談及產業政策,他強調,政府現在要做兩件事,一是讓國家成長引擎繼續運轉,這部分指的是半導體產業為首的科技業;二是大力扶植相對弱勢產業。政府先把台灣未來20年經濟發展做個保障,讓大家安定下來,當整體經濟還不錯,政府就有餘力做產業均衡。

劉鏡清指出,科技業帶動我國GDP附加價值率高達58.5%,是所有產業中最高,若這個引擎熄火,我們面臨的經濟挑戰,恐怕就不是那麼簡單。

他指出,全球十大IC設計公司有五家在美國、四家在台灣;台灣半導體製造和封裝都很強勢,有台積電和日月光,目前全球在半導體和AI發展上,90%伺服器、86%主機板來自台灣,晶片更幾乎100%來自台灣,顯見台灣扮演關鍵角色。

劉鏡清表示,全球都面臨人力短缺,尤其在先進國家,而這將使全球對於半導體、AI需求更殷切,這就是台灣的機會和優勢。

至於在半導體產業比較弱的設備、化學材料部分,劉鏡清表示,他已找台積電供應鏈談過,希望以國家力量扶植業者往海外發展、進軍全球,要讓台灣能夠成為全球最完整的生態系,領先全球的地位將更穩固。

劉鏡清指出,當國家整體經濟還不錯,政府就可以有餘力來做產業均衡。例如有些產業發展已達成熟期,就可以幫助他們創造差異化。此外,國發會也希望推動產業控股公司,把小公司整合成大公司,產生資本效益,國發會期盼促成更多這種案例,國發基金也會資助給予他們更多子彈,近期旅宿業已經來敲門,表達意願希望聯合起來進軍海外。

劉鏡清強調,產業控股公司的概念跟傳統合併不一樣,大聯大就是很成功的例子,現在是打群架的時代。

針對人才政策,劉鏡清表示,國發會將鬆綁法規,預計11月將相關修法送進立法院;隨遠距工作概念盛行,國發會規劃海外設點,像軟體等產業,可採遠距工作,利用當地人才服務台商。

【2024-09-19/經濟日報/A4版/焦點】

新聞日期:2024/09/19  | 新聞來源:工商時報

台積美製蘋果A16晶片 投產

採用N4P製程,僅次於目前台灣的3奈米一個世代,明年上半有望全面生產
綜合報導
 台積電美國亞利桑那州廠傳捷報,外媒指出,Fab21迎來首個客戶開始生產蘋果A16晶片。業者指出,2024年台積電大豐收,接連達成美歐日三地擴廠的海外里程碑,10月17日法說會可望由董事長兼總裁魏哲家擘劃全球布局的願景,且美國廠將迎來更多當地客戶,其中包含輝達、AMD等業者。
 法人指出,台積電美國廠儘管初期產量有限,然採用N4P製程,僅次於目前台灣3奈米一個世代,未來產能也會逐漸提高,預計明年上半年達到全面生產。
 台積電位於亞利桑那州的廠房已建設多年,該廠於2020年宣布建造,明年有望全面生產。台積電強調,不評論單一客戶業務,美國廠專案照計畫進行並且進展良好。
 半導體業者指出,儘管手機成品仍需回到亞洲進行組裝,不過從晶片製造到先進封裝,已都能於美國當地完成,而現階段封裝將委由美商艾克爾(Amkor)進行,對台積電或美國來說,皆達成各自的階段性目標。
 複製台灣工廠的高營運效率,同屬5奈米家族系列,台積電美國工廠已與台南Fab18良率相當,台積電展現其製造韌性,並結合半導體供應鏈、高階工程師的「黃金鐵三角」,在美國成功複製,相較之下,三星德州泰勒工廠已延後,更凸顯異地建廠的巨大挑戰。
 據了解,台積電鳳凰城工廠的2,200名員工當中,約有一半來自台灣。不過,在建造接下來的兩座工廠時,也將提升當地員工的比例,並創造6,000個就業機會。
 此外,台積電鳳凰城工廠的重要大將據傳也會於年底進行調整,現任總經理哈里森(Brian Harrison)將退休、由執行副總Rose Castanares接任。
 而背後功臣執行長王英郎功不可沒,使命必達的戰功、一肩扛下美國廠milestone(里程碑)重任。明年進入大規模量產,王英郎應會繼續留在美國督軍,不過屆時將有機會迎來包括輝達在內的訂單。
 業界研判,輝達應會從消費型顯卡試行,如Blackwell架構GeForce顯卡預計第四季發表,採台積電N4P製程打造。至於資料中心產品因涉及CoWoS先進封裝製程,短期內由台灣廠區生產最具效益。

新聞日期:2024/09/18  | 新聞來源:工商時報

三大成熟製程廠 Q3營運回溫

預期單季產能利用率重回七成,已是去年下半年以來高檔
台北報導
 今年全球半導體成熟製程晶圓需求回升力道及速度均低於預期,主因消費市場未能明顯復甦所致,不過,國內三大成熟製程晶圓代工廠聯電(2303)、世界(5347)與力積電(6770)第三季營運溫和回升,預期第三季單季產能利用率重回七成,已是去年下半年以來高檔,市場法人看好三大廠本季營運可望續揚。
 近二年陸系業者全力衝刺擴充產能,去年以來陸系成熟製程晶圓代工更是低價搶單,對台系三大成熟製程廠報價造成壓力,不過,由於陸系晶圓代工龍頭中芯國際第二季40奈米和28奈米已滿載,先前並預估今年第三季毛利率將由第二季的13.9%提升至19%,下半年成熟製程價格競爭將趨緩。
 台系成熟製程晶圓代工業者營運隨庫存去化溫和復甦,第三季平均產能利用率預估將提升至七成,大致符合各廠第二季時的預期。
 聯電7、8月合併營收415.41億元,已達第二季合併營收73%。市場法人表示,近月聯電單月合併營收都維持200億元之上,是今年單月營收相對高水準,預期加計9月合併營收後,第三季營收將維持成長表現。
 聯電預估第三季在通訊和電腦市場需求支撐下晶圓出貨量季增4%至6%,毛利率受折舊增加及電費上漲影響維持約34%至36%,與上季持平,預期產能利用率將提升至近70%。
 世界先進8月合併營收36.33億元,月增2.14%,年增3.31%,累計前八個月合併營收278.88億元,年增10.87%。世界先進財務長黃惠蘭表示,晶圓出貨量增加,帶動該公司8月合併營收較上月成長。
 世界先進先前預期第三季營運,整體客戶需求將維持成長,也預估第三季晶圓出貨量季增9%至11%,產品平均銷售單價將較第二季持平到季減約2%,估計第三季產能利用率約70%。
 力積電8月營收39.5億元,寫下近二十個月新高,累計前八個月營收296.9億元,年增1.1%,該公司預估第三季營收及產能利用率微增,但銅鑼新廠未達經濟規模,將影響第三季毛利率,單季可能持續虧損。力積電估,第三季12吋晶圓廠除銅鑼廠外的產能利用率將緩步回升至八成,8吋廠回升至七成,記憶體代工則持續高於九成。

新聞日期:2024/09/18  | 新聞來源:經濟日報

日月光產能看升 帶旺營收

【台北報導】
輝達(NVIDIA)、超微(AMD)等晶片大廠受惠HPC需求強勁,前段晶圓製造先進製程產能維持滿載,CoWoS供不應求,市場看好日月光投控(3711)後段WoS產能將拉升,將有利營運表現。

法入機構表示,微軟、亞馬遜、Meta、谷歌等雲端服務供應商(CSP),正持續積極擴建AI伺服器資料中心,蘋果也將加入這場AI算力戰局,使輝達、AMD等AI及HPC供應鏈訂單動能維持高檔動能。

輝達的Blackwell架構的新一代HPC目前已在台積電量產投片,預計今年底前將進入封測階段,明年第1季將會出貨至OEM、ODM廠。B200、GB200等HPC訂單,較先前Hopper架構H100更加強勁,因擔心供給受限,CSP廠開始預訂Rubin架構HPC晶片。

此外,明年上半年AMD將先行推出CDNA4架構打造的MI350產品,在2026年推出Next架構生產MI400高速運算晶片,使半導體供應鏈正積極擴增產能。

日月光投控旗下的矽品取得二大廠新款HPC晶片的WoS及測試大單,當前矽品潭子總公司、中科廠及中科二廠即將完成無塵室建置,機台設備將陸續開始進駐,推估新產能可望增加至少20%以上,不僅今年需求大幅成長,日月光投控已積極備戰2026年兩大廠全新架構的HPC商機,屆時產能有望再度擴增。

日月光投控原預估,今年資本支出將年增40~50%以上、約12~14億美元,4月再增加10%資本支出在測試相關設備,使資本支出達到13~15億元,年增45~70%。

由於預期先進技術的ATM需求將大幅增長,8月再度提高2024資本支出至18.28億美元,較去年增加約一倍。今年資本支出比重依序為封裝53%、測試38%,EMS約8%以及材料1% 。

分析師表示,日月光投控今年將會回到往年的營運軌跡,下半年為傳統旺季,先前併購英飛凌的菲律賓與韓國兩廠將在第3季貢獻營收。

【2024-09-18/經濟日報/C2版/市場脈動】

新聞日期:2024/09/18  | 新聞來源:工商時報

英特爾分拆晶圓代工 更黏台

將加重仰賴台系半導體業者,台積電、聯電及智原等受惠
綜合報導
 英特爾執行長基辛格(Pat Gelsinger)16日宣布重大轉型策略,將晶圓代工部門設為獨立子公司之外,也實施更多成本節約措施,包括在年底前關閉全球三分之二的辦公室等策略。法人表示,英特爾晶圓代工業務有機會更加仰賴台系半導體業者,如台積電、聯電及智原等晶圓代工、ASIC(特殊應用積體電路)/IP業者。
 今年以來英特爾事業每況愈下.導致股價至今大跌60%,近日終於盼來好消息。除了晶圓代工事業簽下亞馬遜這個大客戶之外,英特爾也額外獲得美國政府30億美元直接補助,激勵股價在16日大漲6.36%,17日早盤一度續漲3.35%。
 法人指出,英特爾分拆晶圓代工部門,今年可以節省數十億美元成本,有望加速高階製程給台積電。此外,在成熟製程方面,英特爾年初與聯電宣布12奈米製程結盟提攜,並與智原科技(Faraday)宣布攜手Arm英特爾18A製程開發基於Arm Neoverse運算子系統的64核系統單晶片,成熟製程部分可望與台廠緊密結合。
 報導稱,基辛格向員工發出一份備忘錄,內容指出這是英特爾40年來最重大轉型,晶圓代工部門將成為英特爾旗下獨立子公司,除了擁有獨立的營運董事會之外,也能接受外部資本。
 基辛格表示,晶圓代工部門成為獨立子公司不僅能化解市場投資人的疑慮,在企業架構上也更貼近其他晶圓代工業者。但他強調,英特爾還不打算分拆或出售晶圓代工事業,宣稱英特爾維持整體性比較有競爭力。
 基辛格表示,英特爾晶圓代工部門已和亞馬遜雲端服務事業AWS簽約,主要由亞馬遜支付晶片設計服務及代工製造費用,並由英特爾客製化代工生產一款「AI結構晶片」,採用英特爾對外部客戶提供的最先進18A製程。
 亞馬遜AWS部門已設計多款應用在亞馬遜資料中心的晶片,並委託英特爾為其中一個版本的晶片進行封裝。英特爾表示,未來將採用即將推出的18AP及14A製程為亞馬遜進行更多晶圓代工。
 另一方面,今年3月份,英特爾已經獲得美國政府「晶片法案」直接補助最高85億美元,協助英特爾在美國興建晶片廠房。基辛格16日表示,英特爾近日額外獲得美國政府30億美元直接補助。這項補助是根據美國「晶片法案」中的「安全飛地計畫」,將加深英特爾與國防部的合作,協助國防部興建晶片製造廠房。

新聞日期:2024/09/16  | 新聞來源:經濟日報

日月光先進封裝 大擴產

輝達、超微追單CoWoS效應 旗下矽品、中科廠總動員 預期擴增逾兩成產能 明年營運進補
【記者台北報導】
AI推動高效能運算(HPC)商機大爆發,在輝達及超微兩大客戶大舉追加CoWoS先進封裝訂單激勵下,日月光投控旗下矽品潭子總公司、中科廠及中科二廠等廠區全部動起來,隨無塵室建置完成後,機台也開始陸續進駐,預期至少新增逾兩成產能。

法人看好,明年新產能全面啟動後,日月光投控營運大進補。

業界分析,微軟、亞馬遜AWS、Meta、Google等雲端服務供應商(CSP)正持續積極擴建AI伺服器資料中心,就連蘋果也將加入這場AI算力戰局,使輝達(NVIDIA)、超微(AMD)等AI及HPC供應鏈訂單動能維持高檔動能。

業界進一步指出,輝達的Blackwell架構的新一代HPC目前已經在台積電準備量產投片,預計今年底前將進入封測階段,明年第1季將會出貨至OEM╱ODM廠,所以CSP廠客戶訂單幾乎已經放眼到明年上半年,且B200、GB200等HPC訂單較先前Hopper架構的H100更加強勁,由於先前供給受限問題,使CSP廠開始搶攻下一代的Rubin架構HPC晶片,半導體供應鏈正積極擴增產能、備戰客戶新訂單需求。

另外,超微將在明年上半年先行推出以CDNA4架構打造的MI350產品,全球CSP大廠正積極委託ODM廠開案設計,力拚明年上半年開始放量生產,AMD更可望在2026年推出以Next架構生產的MI400高速運算晶片,成為輝達的強勁競爭對手。

業界強調,當前不論是輝達、超微等GPU晶片大廠的HPC需求都相當強勁,不僅讓前段晶圓製造先進製程產能維持滿載,更讓負責後段WoS(Wafer on Substrate)的產能將進入拉升階段。

業界說明,日月光投控旗下的矽品成功吃下兩大廠新款HPC晶片的WoS及測試大單,當前矽品潭子總公司、中科廠及中科二廠即將完成無塵室建置,機台設備將陸續開始進駐,推估新產能可望增加至少兩成以上,且不僅明年需求大幅成長,日月光投控已積極備戰2026年兩大廠全新架構的HPC商機,屆時產能有望再度擴增。

針對先進封裝布局,日月光投控營運長吳田玉日前表示,強調無論是CoW或WoS段製程,集團均與代工合作夥伴共同開發多年。

【2024-09-16/經濟日報/A3版/話題】

新聞日期:2024/09/16  | 新聞來源:工商時報

首選面板閒置廠房 半導體巨擘 在台瘋買廠

台積電、美光、日月光等四處覓地,群創、友達、精金、彩晶等華麗轉身
台北報導
 台積電、美光等半導體巨擘急擴產,在台買廠大作戰。面板廠高規格無塵室廠房成為首選,群創繼出售旗下Fab 4(5.5代廠)後,Fab 2(4代廠)待價而沽,觸控感應器廠精金(原和鑫)位在南科的廠房,美光和台積電刻正洽詢中。
 台積電8月中斥資171.4億元買下「群創南科Fab 4」後,記憶體大廠美光科技8月底也斥資74億元買下友達南科舊廠。輝達租下潤泰集團位於南港的「潤泰玉成辦公大樓」3~17樓,租期10年1個月、總租金約28.97億元,做為第二座研發中心基地。
 另,AMD將於台南市沙崙智慧綠能科學城設立資料中心及研發據點,9月將說明,也考慮於高雄亞灣設立研發中心。
 半導體廠到處覓地擴產,面板閒置廠房成首選,一旦買下可直接下訂設備等待裝機。外傳日月光看上群創位在高雄路竹的8.5代/8.6代hybrid廠房,不過該廠是2017年投產新廠,生產電視面板主力,群創無意出售。
 先前群創已搬空閒置的4代廠,也有半導體廠洽談當中。此外,因嘉義的土地開發延宕,市場傳出台積電找上群創希望再租用Fab 5的廠房,搶時間擴產。
 至於彩晶在2020年出售南科四廠給台積電(現今台積電Fab 18),精金在南科的廠辦位於該廠旁而成鎖定目標,除台積電有意買下,美光也在洽詢。不過精金出售1~3樓廠房給彩晶,彩晶已租給台積電作為倉庫使用。
 群創出售Fab 4(5.5代廠)給台積電,旗下Fab 2(4代廠)部分是生產X光感測器給睿生,其餘LCD面板產線已停產、清空廠區求售。供應鏈傳群創南科的Fab 5(5代廠)及竹南的T1(5代廠)今年逐漸減少投片,或轉移到其他廠區生產,預期2025年關廠,群創對傳言不予置評。而友達去年將龍潭一座5代廠改為MicroLED生產基地,旗下尚有3座5代廠,後續動向備受關注。

新聞日期:2024/09/11  | 新聞來源:工商時報

世界漢磊 合攻八吋SiC

世界砸24.8億取得漢磊13%私募股權;2026下半年量產
台北報導
世界先進(5347)與漢磊(3707)10日簽訂策略合作協議,雙方將攜手合作,推動碳化矽(SiC)八吋晶圓的技術研發與生產製造,世界先進並參與漢磊私募普通股認購,投資金額24.8億元,以共同推動具競爭優勢的產品製造服務,建立雙方的長期策略合作關係。
 漢磊辦理私募增資案,世界先進認購50,000仟股,投資24.8億元,將取得13%股權。
漢磊將於主管機關核准募資登記後,和世界先進展開合作。相關技術初期由漢磊轉移,預計2026下半年開始量產。
結合雙方的技術優勢和市場資源,漢磊及世界先進並將共同進行SiC技術研發、市場推廣,為客戶創造更大的價值;未來雙方亦將評估SiC技術研發及量產進度,進行更進一步的合作。
 此次合作,將專注於八吋SiC半導體晶圓製造的技術開發及未來的量產,由於SiC的材料特性,可以有效提升能源效率,特別在因應全球暖化的節能減碳趨勢下,其應用將普及到電動化車款(xEV)、AI資料中心、綠能儲能及工控甚至消費性產品等。
漢磊董事長徐建華表示,漢磊集團旗下的嘉晶電子(3016)與世界先進長期以來即為矽磊晶事業合作夥伴,本次私募引進世界先進成為策略性股東,透過投資結合將使彼此間的策略合作更緊密。
漢磊與世界先進的合作,將在漢磊現有六吋晶圓製造技術及客戶的基礎上,共同合作進行八吋SiC技術平台開發及產能布建,以提供全球IDM及Fabless客戶具有長期競爭力的解決方案。
本次策略合作可為世界先進、漢磊及嘉晶電子三方公司創造新的成長動能與合作綜效,並為客戶及股東權益創造更高價值。
世界先進董事長方略表示,世界先進與漢磊的策略夥伴關係,將兩家公司的核心資源與優勢緊密結合,為雙方合作奠定互惠雙贏的堅實基礎。

新聞日期:2024/09/11  | 新聞來源:工商時報

台積8月登次高 Q3衝超標

智慧型手機、AI需求強勁,營收衝上2,508.7億;前八月達1.77兆,年增30.8%
台北報導
 晶圓代工龍頭台積電8月合併營收衝刺、達2,508.7億元,創歷史次高紀錄。受惠於智慧型手機及AI需求強勁,公司財測第三季美元營收季增中位數為9.5%,法人認為,本季營運有望優於預期,營收將往預估值上緣232億美元邁進。
 台積電高階訂單不斷,供應鏈消息指出,高通Snapdragon 8 Gen 5預計由台積電N3P製程打造,同時會將三星SF2技術納入考量,進一步印證董事長魏哲家日前所言,3奈米的N3P版本的PPA(效能、功耗及面積)優於競爭對手次世代製程。
 台積電8月營收刷新歷史次高紀錄,法人分析,主要由蘋果手機出貨拉動,帶動8月份合併營收達2,508.7億元,較上月減少2.4%,較去年同期成長33.0%。累計前八月合併營收達1.77兆元,較去年同期增加30.8%。
 AI強勁需求持續推升前後段製程的成長。值得注意的是,台積電後段製程的毛利率與公司平均間的落差正逐漸收斂,公司預估第三季毛利率預估中值為54.5%、季增1.3百分點,顯示先進製程產能利用率優於預期推動。
 在主要客戶對台積電技術深具信心,以蘋果為首的手機客戶預估將採用3奈米打造明年旗艦晶片,安卓陣營SPEC(規格)也逐漸清晰;供應鏈透露,高通正在評估Snapdragon 8 Gen 5是否額外要採用三星2奈米製程(SF2)來降低生產成本,不過最終以三星良率決定,進一步證實台積電第二代三奈米製程勝過競爭對手同級表現。
 法人分析,台積電2奈米首先迎來Nanosheet(奈米片)電晶體變革,為避免三星提前導入之窘境,各家品牌廠謹慎觀望視之;此外,N3P晶片要價不斐,據傳單顆售價為240美元,適度競爭有助平抑價格。
 不過2奈米Tape-out(流片)數量比3、5奈米都高,量產及產能拉升(ramp up)速度也將優於3奈米;台積電預期2奈米量產第一年營收會比3奈米同期貢獻更大,毛利率也會更快達到公司平均水準。
 台積電先進封裝產業地位也逐步攀升,主要是客戶轉到N2/A16,都會採用chiplet(小晶片),即要使用先進封裝。因此法人預估,2025年在2奈米導入及先進封裝需求續增之下,台積電資本支出將上看370億美元,有望突破2022年363億美元歷史新高紀錄。

新聞日期:2024/09/10  | 新聞來源:工商時報

輝達B系列有解 埃米機台將到手 台積先進製程雙喜臨門

改版完成,GB200可望順利12月量產;艾司摩爾High-NA EUV初號機本月抵台
台北報導
 台積電先進製程利多二連發!供應鏈消息透露,輝達(NVIDIA)Blackwell修改6層Metal layer(金屬層)光罩,不用重新流片(tape-out)再投產,遞延生產時間有限,樂觀估計GB200於12月量產,明年第一季大量交付ODM。另外,ASML的High-NA EUV(高數值孔徑極紫外光微影系統)設備將於9月首度抵台、傳出將直送交台積電全球研發中心,搶先布局埃米時代。
 人工智慧(AI)及高效能運算(HPC)需求強勁,對先進封裝技術的要求也隨之提高,GB200遞延消息成為AI產業最大的多空指標;相關業者透露,Blackwell晶片金屬層在高壓製程下遇到不穩定情況,因此針對問題修正,該事件於7月便已克服,加上情況發生在後道工序(Back-of-Line),研判毋須重新流片生產。但目前仍卡關在CoWoS-L產能,今年仍以S為大宗。
 不過,改版的B200將在10月下半年完成,GB200可望順利於12月進入量產,明年第一季便能大量交付ODM業者。法人表示,台積電CoWoS產能持續滿載,GB200延後對營運無影響,而相關產能更加足馬力擴充,CoWoS-L為首要建置目標,相較於S之99%之良率,L約略低於其8個百分點。
 在先進製程部分,3奈米台積電幾乎一統江湖,法人估計,明年1月1日先進製程漲價6%,美系手機客戶則調升3%。2奈米台積電保持穩健步伐,預計能在規模上領先競爭對手。
 此外,供應鏈透露,台積電訂購之ASML High-NA EUV設備將於本月抵台,初號機作為實驗用,將會以新竹寶山全球研發中心率先擁有;台積電於SEMICON釋出後CFET(互補式場效電晶體)時代路徑圖,比利時微電子研究中心(imec)透露A14製程開始,為追求更小的金屬層間距(Metal pitch),即會開始使用High-NA EUV,對照台積電於2026年以EUV量產A16,外界猜測A14開始將以High-NA EUV為主。
 台積電逐漸於軍備競賽取得領先地位,對手傳出在愛爾蘭半導體園區進行人力精簡政策,業內專家指出,愛爾蘭所屬Fab 34於去年甫新廠落成啟動,掌握極紫外光EUV技術,恐將失去部分產能;不過將精力投注在埃米時代戰場,是目前最佳的策略選擇。

第 1 頁,共 3 頁
×
回到最上方